Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 20 de 25
Filtrar
Mais filtros










Base de dados
Intervalo de ano de publicação
1.
Biosensors (Basel) ; 14(3)2024 Mar 14.
Artigo em Inglês | MEDLINE | ID: mdl-38534249

RESUMO

Silicon nanowire field effect (SiNW-FET) biosensors have been successfully used in the detection of nucleic acids, proteins and other molecules owing to their advantages of ultra-high sensitivity, high specificity, and label-free and immediate response. However, the presence of the Debye shielding effect in semiconductor devices severely reduces their detection sensitivity. In this paper, a three-dimensional stacked silicon nanosheet FET (3D-SiNS-FET) biosensor was studied for the high-sensitivity detection of nucleic acids. Based on the mainstream Gate-All-Around (GAA) fenestration process, a three-dimensional stacked structure with an 8 nm cavity spacing was designed and prepared, allowing modification of probe molecules within the stacked cavities. Furthermore, the advantage of the three-dimensional space can realize the upper and lower complementary detection, which can overcome the Debye shielding effect and realize high-sensitivity Point of Care Testing (POCT) at high ionic strength. The experimental results show that the minimum detection limit for 12-base DNA (4 nM) at 1 × PBS is less than 10 zM, and at a high concentration of 1 µM DNA, the sensitivity of the 3D-SiNS-FET is approximately 10 times higher than that of the planar devices. This indicates that our device provides distinct advantages for detection, showing promise for future biosensor applications in clinical settings.


Assuntos
Técnicas Biossensoriais , Nanofios , Ácidos Nucleicos , Silício/química , Transistores Eletrônicos , DNA , Técnicas Biossensoriais/métodos , Nanofios/química
2.
Natl Sci Rev ; 11(3): nwae008, 2024 Mar.
Artigo em Inglês | MEDLINE | ID: mdl-38390365

RESUMO

Over recent decades, advancements in complementary metal-oxide-semiconductor integrated circuits (ICs) have mainly relied on structural innovations in transistors. From planar transistors to the fin field-effect transistor (FinFET) and gate-all-around FET (GAAFET), more gate electrodes have been added to three-dimensional (3D) channels with enhanced control and carrier conductance to provide higher electrostatic integrity and higher operating currents within the same device footprint. Beyond the 1-nm node, Moore's law scaling is no longer expected to be applicable to geometrical shrinkage. Vertical transistor stacking, e.g. in complementary FETs (CFET), 3D stack (3DS) FETs and vertical-channel transistors (VFET), for enhanced density and variable circuit or system design represents a revolutionary scaling approach for sustained IC development. Herein, innovative works on specific structures, key process breakthroughs, shrinking cell sizes and design methodologies for transistor structure research and development are reviewed. Perspectives on future innovations in advanced transistors with new channel materials and operating theories are also discussed.

3.
Nanomaterials (Basel) ; 13(22)2023 Nov 18.
Artigo em Inglês | MEDLINE | ID: mdl-37999325

RESUMO

With characteristic size scaling down to the nanoscale range, the confined geometry exacerbates the self-heating effect (SHE) in nanoscale devices. In this paper, the impact of ambient temperature (Tamb) on the SHE in stacked nanosheet transistors is investigated. As the number of lateral stacks (Nstack) increases, the nanoscale devices show more severe thermal crosstalk issues, and the current performance between n- and p-type nanoscale transistors exhibits different degradation trends. To compare the effect of different Tamb ranges, the temperature coefficients of current per stack and threshold voltage are analyzed. As the Nstack increases from 4 to 32, it is verified that the zero-temperature coefficient bias point (VZTC) decreases significantly in p-type nanoscale devices when Tamb is above room temperature. This can be explained by the enhanced thermal crosstalk. Then, the gate length-dependent electrothermal characteristics with different Nstacks are investigated at various Tambs. To explore the origin of drain current variation, the temperature-dependent backscattering model is utilized to explain the variation. At last, the simulation results verify the impact of Tamb on the SHE. The study provides an effective design guide for stacked nanosheet transistors when considering multiple stacks in circuit applications.

4.
Nanomaterials (Basel) ; 13(14)2023 Jul 21.
Artigo em Inglês | MEDLINE | ID: mdl-37513138

RESUMO

Gate-all-around (GAA) structures are important for future logic devices and 3D-DRAM. Inner-spacer cavity etching and channel release both require selective etching of Si0.7Ge0.3. Increasing the number of channel-stacking layers is an effective way to improve device current-driving capability and storage density. Previous work investigated ICP selective etching of a three-cycle Si0.7Ge0.3/Si multilayer structure and the related etching effects. This study focuses on the dry etching of a 15-cycle Si0.7Ge0.3/Si multilayer structure and the associated etching effects, using simulation and experimentation. The simulation predicts the random effect of lateral etching depth and the asymmetric effect of silicon nanosheet damage on the edge, both of which are verified by experiments. Furthermore, the study experimentally investigates the influence and mechanism of pressure, power, and other parameters on the etching results. Research on these etching effects and mechanisms will provide important points of reference for the dry selective etching of Si0.7Ge0.3 in GAA structures.

5.
Biosensors (Basel) ; 13(6)2023 Jun 13.
Artigo em Inglês | MEDLINE | ID: mdl-37367010

RESUMO

Acute kidney injury (AKI) is a frequently occurring severe disease with high mortality. Cystatin C (Cys-C), as a biomarker of early kidney failure, can be used to detect and prevent acute renal injury. In this paper, a biosensor based on a silicon nanowire field-effect transistor (SiNW FET) was studied for the quantitative detection of Cys-C. Based on the spacer image transfer (SIT) processes and channel doping optimization for higher sensitivity, a wafer-scale, highly controllable SiNW FET was designed and fabricated with a 13.5 nm SiNW. In order to improve the specificity, Cys-C antibodies were modified on the oxide layer of the SiNW surface by oxygen plasma treatment and silanization. Furthermore, a polydimethylsiloxane (PDMS) microchannel was involved in improving the effectiveness and stability of detection. The experimental results show that the SiNW FET sensors realize the lower limit of detection (LOD) of 0.25 ag/mL and have a good linear correlation in the range of Cys-C concentration from 1 ag/mL to 10 pg/mL, exhibiting its great potential in the future real-time application.


Assuntos
Técnicas Biossensoriais , Nanofios , Insuficiência Renal , Humanos , Silício , Cistatina C , Transistores Eletrônicos , Biomarcadores , Técnicas Biossensoriais/métodos
6.
Nanomaterials (Basel) ; 13(7)2023 Apr 03.
Artigo em Inglês | MEDLINE | ID: mdl-37049352

RESUMO

In this article, an experimental study on the gate-induced drain leakage (GIDL) current repairing worst hot carrier degradation (HCD) in Si p-FinFETs is investigated with the aid of an ultra-fast measurement (UFM) technique (~30 µs). It is found that increasing GIDL bias from 3 V to 4 V achieves a 114.7% VT recovery ratio from HCD. This over-repair phenomenon of HCD by UFM GIDL is deeply discussed through oxide trap behaviors. When the applied gate-to-drain GIDL bias reaches 4 V, a significant electron trapping and interface trap generation of the fresh device with GIDL repair is observed, which greatly contributes to the approximate 114.7% over-repair VT ratio of the device under worst HCD stress (-2.0 V, 200 s). Based on the TCAD simulation results, the increase in the vertical electric field on the surface of the channel oxide layer is the direct cause of an extraordinary electron trapping effect accompanied by the over-repair phenomenon. Under a high positive electric field, a part of channel electrons is captured by oxide traps in the gate dielectric, leading to further VT recovery. Through the discharge-based multi-pulse (DMP) technique, the energy distribution of oxide traps after GIDL recovery is obtained. It is found that over-repair results in a 34% increment in oxide traps around the conduction energy band (Ec) of silicon, which corresponds to a higher stabilized VT shift under multi-cycle HCD-GIDL tests. The results provide a trap-based understanding of the transistor repairing technique, which could provide guidance for the reliable long-term operation of ICs.

7.
ACS Appl Mater Interfaces ; 14(51): 57440-57448, 2022 Dec 28.
Artigo em Inglês | MEDLINE | ID: mdl-36512440

RESUMO

Artificial neurons as the basic units of spiking neural network (SNN) have attracted increasing interest in energy-efficient neuromorphic computing. 2D transition metal dichalcogenide (TMD)-based devices have great potential for high-performance and low-power artificial neural devices, owing to their unique ion motion, interface engineering, and resistive switching behaviors. Although there are widespread applications of TMD-based artificial synapses in neural networks, TMD-based neurons are seldom reported due to the lack of bio-plausible multi-mechanisms to mimic leaking, integrating, and firing biological behaviors without external assistance. In this work, for the first time, a methodology is developed by introducing the hybrid effect of charge trapping (CT) and Schottky barrier (SB) in MoS2 FETs for barristor memory and one-transistor (1T) compact artificial neuron realization. By correlating the CT and SB processes, quasi-volatile and resistive switching behaviors are realized on the fabricated MoS2 FET and utilized to mimic the accumulating, leaking, and firing biological behaviors of neurons. Therefore, based on a single quasi-volatile CT-SB MoS2 barristor memory, a 1T compact neuron of the basic leaky-integral-and-fire (LIF) function is demonstrated without a peripheral circuit. Furthermore, a spiking neural network (SNN) based on the CT-SB MoS2 barristor neurons is simulated and implemented in pattern classification with high accuracy approaching 95.82%. This work provides a highly integrated and inherently low-energy implementation for neural networks.


Assuntos
Molibdênio , Redes Neurais de Computação , Neurônios/fisiologia , Sinapses/fisiologia
8.
Nanoscale Res Lett ; 17(1): 124, 2022 Dec 15.
Artigo em Inglês | MEDLINE | ID: mdl-36520242

RESUMO

In this work, extremely thin silicon-on-insulator field effective transistors (ETSOI FETs) are fabricated with an ultra-thin 3 nm ferroelectric (FE) hafnium zirconium oxides (Hf0.5Zr0.5O2) layer. Furthermore, the subthreshold characteristics of the devices with double gate modulation are investigated extensively. Contributing to the advantages of the back-gate voltage coupling effects, the minimum subthreshold swing (SS) value of a 40 nm ETSOI device could be adjusted from the initial 80.8-50 mV/dec, which shows ultra-steep SS characteristics. To illustrate this electrical character, a simple analytical model based on the transient Miller model is demonstrated. This work shows the feasibility of FE ETSOI FET for ultra-low-power applications with dynamic threshold adjustment.

9.
Nanomaterials (Basel) ; 12(7)2022 Apr 05.
Artigo em Inglês | MEDLINE | ID: mdl-35407340

RESUMO

In this work, low-temperature Schottky source/drain (S/D) MOSFETs are investigated as the top-tier devices for 3D sequential integration. Complementary Schottky S/D FinFETs are successfully fabricated with a maximum processing temperature of 500 °C. Through source/drain extension (SDE) engineering, competitive driving capability and switching properties are achieved in comparison to the conventional devices fabricated with a standard high-temperature (≥1000 °C) process flow. Schottky S/D PMOS exhibits an ON-state current (ION) of 76.07 µA/µm and ON-state to OFF-state current ratio (ION/IOFF) of 7 × 105, and those for NMOS are 48.57 µA/µm and 1 × 106. The CMOS inverter shows a voltage gain of 18V/V, a noise margin for high (NMH) of 0.17 V and for low (NML) of 0.43 V, with power consumption less than 0.9 µW at VDD of 0.8 V. Full functionality of CMOS ring oscillators (RO) are further demonstrated.

10.
Nanomaterials (Basel) ; 12(5)2022 Mar 07.
Artigo em Inglês | MEDLINE | ID: mdl-35269377

RESUMO

In this paper, the fabrication and electrical performance optimization of a four-levels vertically stacked Si0.7Ge0.3 channel nanowires gate-all-around transistor are explored in detail. First, a high crystalline quality and uniform stacked Si0.7Ge0.3/Si film is achieved by optimizing the epitaxial growth process and a vertical profile of stacked Si0.7Ge0.3/Si fin is attained by further optimizing the etching process under the HBr/He/O2 plasma. Moreover, a novel ACT@SG-201 solution without any dilution at the temperature of 40 °C is chosen as the optimal etching solution for the release process of Si0.7Ge0.3 channel. As a result, the selectivity of Si to Si0.7Ge0.3 can reach 32.84 with a signature of "rectangular" Si0.7Ge0.3 extremities after channel release. Based on these newly developed processes, a 4-levels vertically stacked Si0.7Ge0.3 nanowires gate-all-around device is prepared successfully. An excellent subthreshold slope of 77 mV/dec, drain induced barrier-lowering of 19 mV/V, Ion/Ioff ratio of 9 × 105 and maximum of transconductance of ~83.35 µS/µm are demonstrated. However, its driven current is only ~38.6 µA/µm under VDS = VGS = -0.8 V due to its large resistance of source and drain (9.2 × 105 Ω). Therefore, a source and drain silicide process is implemented and its driven current can increase to 258.6 µA/µm (about 6.7 times) due to the decrease of resistance of source and drain to 6.4 × 104 Ω. Meanwhile, it is found that a slight increase of leakage after the silicide process online results in a slight deterioration of the subthreshold slope and Ion/Ioff ratio. Its leakage performance needs to be further improved through the co-optimization of source and drain implantation and silicide process in the future.

11.
ACS Appl Mater Interfaces ; 14(8): 11028-11037, 2022 Mar 02.
Artigo em Inglês | MEDLINE | ID: mdl-35133784

RESUMO

Doped HfO2 thin films, which exhibit robust ferroelectricity even with aggressive thickness scaling, could potentially enable ultralow-power logic and memory devices. The ferroelectric properties of such materials are strongly intertwined with the voltage-cycling-induced electrical and structural changes, leading to wake-up and fatigue effects. Such field-cycling-dependent behaviors are crucial to evaluate the reliability of HfO2-based functional devices; however, its genuine nature remains elusive. Herein, we demonstrate the coupling mechanism between the dynamic change of the interfacial layer and wake-up/fatigue phenomena in ferroelectric Hf1-xZrxO2 (HZO) thin films. Comprehensive atomic-resolution microscopy studies have revealed that the interfacial layer between the HZO and neighboring nonoxide electrode experienced a thickness/composition evolution during electrical cycling. Two theoretical models associated with the depolarization field are adopted, giving consistent results with the thickening of the interfacial layer during electrical cycling. Furthermore, we found that the electrical properties of the HZO devices can be manipulated by controlling the interface properties, e.g., through the choice of electrode match and hybrid cycling process. Our results unambiguously reveal the relationship between the interfacial layer and field-cycling behaviors in HZO, which would further permit the reliability improvement in HZO-based ferroelectric devices through interface engineering.

12.
ACS Appl Mater Interfaces ; 14(5): 6967-6976, 2022 Feb 09.
Artigo em Inglês | MEDLINE | ID: mdl-35076195

RESUMO

Nonvolatile logic devices are crucial for the development of logic-in-memory (LiM) technology to build the next-generation non-von Neumann computing architecture. Ferroelectric field-effect transistors (Fe FET) are one of the most promising candidates for LiMs because of high compatibility with mainstream silicon-based complementary metal-oxide semiconductor processes, nonvolatile memory, and low power consumption. However, because of the unipolar characteristics of a Fe FET, a nonlinear XOR or XNOR logic gate function is difficult to realize with a single device. In addition, because single Fe polarization switch modulation is available in the devices, a reconfigurable logic gate usually needs multiple devices to construct and realize fewer logic functions. Here, we introduced polarization-switching (PS) and charge-trapping (CT) effects in a single Fe FET and fabricated a multi-field-effect transistor with bipolar-like characteristics based on advanced 10 nm node fin field-effect transistors (PS-CT FinFET) with 9 nm thick Hf0.5Zr0.5O2 films. The special hybrid effects of charge-trapping and polarization-switching enabled eight Boolean logic functions with a single PS-CT FinFET and 16 Boolean logic functions with two complementary PS-CT FinFETs were obtained with three operations. Furthermore, reconfigurable full 1 bit adder and subtractor functions were demonstrated by connecting only two n-type and two p-type PS-CT FinFET devices, indicating that the technology was promising for LiM applications.

13.
Nanomaterials (Basel) ; 11(12)2021 Dec 06.
Artigo em Inglês | MEDLINE | ID: mdl-34947659

RESUMO

The degradation of InSe film and its impact on field effect transistors are investigated. After the exposure to atmospheric environment, 2D InSe flakes produce irreversible degradation that cannot be stopped by the passivation layer of h-BN, causing a rapid decrease for InSe FETs performance, which is attributed to the large number of traps formed by the oxidation of 2D InSe and adsorption to impurities. The residual photoresist in lithography can cause unwanted doping to the material and reduce the performance of the device. To avoid contamination, a high-performance InSe FET is achieved by a using hard shadow mask instead of the lithography process. The high-quality channel surface is manifested by the hysteresis of the transfer characteristic curve. The hysteresis of InSe FET is less than 0.1 V at Vd of 0.2, 0.5, and 1 V. And a high on/off ratio of 1.25 × 108 is achieved, as well relative high Ion of 1.98 × 10-4 A and low SS of 70.4 mV/dec at Vd = 1 V are obtained, demonstrating the potential for InSe high-performance logic device.

14.
Nanomaterials (Basel) ; 11(3)2021 Mar 05.
Artigo em Inglês | MEDLINE | ID: mdl-33808024

RESUMO

In this paper, the optimizations of vertically-stacked horizontal gate-all-around (GAA) Si nanosheet (NS) transistors on bulk Si substrate are systemically investigated. The release process of NS channels was firstly optimized to achieve uniform device structures. An over 100:1 selective wet-etch ratio of GeSi to Si layer was achieved for GeSi/Si stacks samples with different GeSi thickness (5 nm, 10 nm, and 20 nm) or annealing temperatures (≤900 °C). Furthermore, the influence of ground-plane (GP) doping in Si sub-fin region to improve electrical characteristics of devices was carefully investigated by experiment and simulations. The subthreshold characteristics of n-type devices were greatly improved with the increase of GP doping doses. However, the p-type devices initially were improved and then deteriorated with the increase of GP doping doses, and they demonstrated the best electrical characteristics with the GP doping concentrations of about 1 × 1018 cm-3, which was also confirmed by technical computer aided design (TCAD) simulation results. Finally, 4 stacked GAA Si NS channels with 6 nm in thickness and 30 nm in width were firstly fabricated on bulk substrate, and the performance of the stacked GAA Si NS devices achieved a larger ION/IOFF ratio (3.15 × 105) and smaller values of Subthreshold swings (SSs) (71.2 (N)/78.7 (P) mV/dec) and drain-induced barrier lowering (DIBLs) (9 (N)/22 (P) mV/V) by the optimization of suppression of parasitic channels and device's structure.

15.
Nanomaterials (Basel) ; 11(2)2021 Jan 26.
Artigo em Inglês | MEDLINE | ID: mdl-33530292

RESUMO

A 16-nm-Lg p-type Gate-all-around (GAA) silicon nanowire (Si NW) metal oxide semiconductor field effect transistor (MOSFET) was fabricated based on the mainstream bulk fin field-effect transistor (FinFET) technology. The temperature dependence of electrical characteristics for normal MOSFET as well as the quantum transport at cryogenic has been investigated systematically. We demonstrate a good gate-control ability and body effect immunity at cryogenic for the GAA Si NW MOSFETs and observe the transport of two-fold degenerate hole sub-bands in the nanowire (110) channel direction sub-band structure experimentally. In addition, the pronounced ballistic transport characteristics were demonstrated in the GAA Si NW MOSFET. Due to the existence of spacers for the typical MOSFET, the quantum interference was also successfully achieved at lower bias.

16.
Nanomaterials (Basel) ; 10(12)2020 Dec 11.
Artigo em Inglês | MEDLINE | ID: mdl-33322344

RESUMO

In this paper, the poly-Si nanowire (NW) field-effect transistor (FET) sensor arrays were fabricated by adopting low-temperature annealing (600 °C/30 s) and feasible spacer image transfer (SIT) processes for future monolithic three-dimensional integrated circuits (3D-ICs) applications. Compared with other fabrication methods of poly-Si NW sensors, the SIT process exhibits the characteristics of highly uniform poly-Si NW arrays with well-controlled morphology (about 25 nm in width and 35 nm in length). Conventional metal silicide and implantation techniques were introduced to reduce the parasitic resistance of source and drain (SD) and improve the conductivity. Therefore, the obtained sensors exhibit >106 switching ratios and 965 mV/dec subthreshold swing (SS), which exhibits similar results compared with that of SOI Si NW sensors. However, the poly-Si NW FET sensors show the Vth shift as high as about 178 ± 1 mV/pH, which is five times larger than that of the SOI Si NW sensors. The fabricated poly-Si NW sensors with 600 °C/30 s processing temperature and good device performance provide feasibility for future monolithic three-dimensional integrated circuit (3D-IC) applications.

17.
Nanomaterials (Basel) ; 10(4)2020 Apr 20.
Artigo em Inglês | MEDLINE | ID: mdl-32326106

RESUMO

Stacked SiGe/Si structures are widely used as the units for gate-all-around nanowire transistors (GAA NWTs) which are a promising candidate beyond fin field effective transistors (FinFETs) technologies in near future. These structures deal with a several challenges brought by the shrinking of device dimensions. The preparation of inner spacers is one of the most critical processes for GAA nano-scale transistors. This study focuses on two key processes: inner spacer film conformal deposition and accurate etching. The results show that low pressure chemical vapor deposition (LPCVD) silicon nitride has a good film filling effect; a precise and controllable silicon nitride inner spacer structure is prepared by using an inductively coupled plasma (ICP) tool and a new gas mixtures of CH2F2/CH4/O2/Ar. Silicon nitride inner spacer etch has a high etch selectivity ratio, exceeding 100:1 to Si and more than 30:1 to SiO2. High anisotropy with an excellent vertical/lateral etch ratio exceeding 80:1 is successfully demonstrated. It also provides a solution to the key process challenges of nano-transistors beyond 5 nm node.

18.
Materials (Basel) ; 13(3)2020 Feb 07.
Artigo em Inglês | MEDLINE | ID: mdl-32046197

RESUMO

Semiconductor nanowires have great application prospects in field effect transistors and sensors. In this study, the process and challenges of manufacturing vertical SiGe/Si nanowire array by using the conventional lithography and novel dry atomic layer etching technology. The final results demonstrate that vertical nanowires with a diameter less than 20 nm can be obtained. The diameter of nanowires is adjustable with an accuracy error less than 0.3 nm. This technology provides a new way for advanced 3D transistors and sensors.

19.
RSC Adv ; 10(13): 7812-7816, 2020 Feb 18.
Artigo em Inglês | MEDLINE | ID: mdl-35492147

RESUMO

In this study, a performance-enhanced charge trapping memory device with a Pt/Gd-doped HfO2/SiO2/Si structure has been investigated, where Gd-doped HfO2 acts as a charge trapping and blocking layer. The device demonstrates a large memory window of 5.4 V under a ±5 V sweeping voltage (360% of the device with pure HfO2), which is extremely attractive in low-power applications. In addition, the device also exhibits good retention characteristics with a 24.5% charge loss after the retention time of 1 × 105 seconds and robust endurance performance with a 1% degradation after 1 × 104 program/erase cycles. It is considered that the high density of defect states and the reduction in the defect energy levels induced by Gd-doping contribute to the performance improvement.

20.
Nanotechnology ; 30(9): 095202, 2019 Mar 01.
Artigo em Inglês | MEDLINE | ID: mdl-30561381

RESUMO

In this paper, a near-ideal subthreshold swing MoS2 back-gate transistor with an optimized ultrathin HfO2 dielectric layer is reported with detailed physical and electrical characteristics analyses. Ultrathin (10 nm) HfO2 films created by atomic-layer deposition (ALD) at a low temperature with rapid-thermal annealing (RTA) at different temperatures from 200 °C to 800 °C have a great effect on the electrical characteristics, such as the subthreshold swing (SS), on-to-off current (I ON/I OFF) ratio, etc, of the MoS2 devices. Physical examinations are performed, including x-ray diffraction, atomic force microscopy, and electrical experiments of metal-oxide-semiconductor capacitance-voltage. The results demonstrate a strong correlation between the HfO2 dielectric RTA temperature and the film characteristics, such as film density, crystallization degree, grain size and surface states, inducing a variation in the electrical parameters, such as the leakage, D it, equivalent oxide thickness, SS, and I ON, as well as I ON/I OFF of the MoS2 field effect transistors with the same channel materials and fabrication methods. With a balance between the crystallization degree and the surface state, the ultrathin (10 nm) HfO2 gate dielectric RTA at 500 °C is demonstrated to have the best performance with a field effect mobility of 40 cm2 V-1 s-1 and the lowest SS of 77.6 mV-1 decade, which are superior to those of the control samples at other temperatures. The excellent transistor results with an optimized industry-based HfO2 ALD and RTA process provide a promising approach for MoS2 applications into the scaling of the nanoscale CMOS process.

SELEÇÃO DE REFERÊNCIAS
DETALHE DA PESQUISA
...