Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 20 de 76
Filtrar
Mais filtros










Base de dados
Intervalo de ano de publicação
1.
ACS Omega ; 9(31): 33735-33742, 2024 Aug 06.
Artigo em Inglês | MEDLINE | ID: mdl-39130588

RESUMO

Although metal-organic thin films are required for many biorelated applications, traditional deposition methods have proven challenging in preparing these composite materials. Here, a Co-organic composite thin film was prepared by plasma-enhanced atomic layer deposition (PEALD) with cobaltocene (Co(Cp)2) on polydimethylsiloxane (PDMS), using two very high frequency (VHF) NH3 plasmas (60 and 100 MHz), for use as a tissue culture scaffold. VHF PEALD was employed to reduce the temperature and control the thickness and composition. In the result of the VHF PEALD process, the Young's modulus of the Co-organic composite thin film ranged from 82.0 ± 28.6 to 166.0 ± 15.2 MPa, which is similar to the Young's modulus of soft tissues. In addition, the deposited Co ion on the Co-organic composite thin film was released into the cell culture media under a nontoxic level for the biological environment. The proliferation of both L929, the mouse fibroblast cell line, and C2C12, the mouse myoblast cell line, increased to 164.9 ± 23.4% during 7 days of incubation. Here, this novel bioactive Co-organic composite thin film on an elastic PDMS substrate enhanced the proliferation of L929 and C2C12 cell lines, thereby expanding the application range of VHF PEALD in biological fields.

2.
Adv Mater ; : e2406179, 2024 Jul 14.
Artigo em Inglês | MEDLINE | ID: mdl-39003621

RESUMO

Hydroxyapatite (HA) exhibits outstanding biocompatibility, bioactivity, osteoconductivity, and natural anti-inflammatory properties. Pure HA, ion-doped HA, and HA-polymer composites are investigated, but critical limitations such as brittleness remain; numerous efforts are being made to address them. Herein, the novel self-crystallization of a polymeric single-stranded deoxyribonucleic acid (ssDNA) without additional phosphate ions for synthesizing deoxyribonucleic apatite (DNApatite) is presented. The synthesized DNApatite, DNA1Ca2.2(PO4)1.3OH2.1, has a repetitive dual phase of inorganic HA crystals and amorphous organic ssDNA at the sub-nm scale, forming nanorods. Its mechanical properties, including toughness and elasticity, are significantly enhanced compared with those of HA nanorod, with a Young's modulus similar to that of natural bone.

3.
ACS Appl Mater Interfaces ; 16(31): 41642-41652, 2024 Aug 07.
Artigo em Inglês | MEDLINE | ID: mdl-39069792

RESUMO

High-aspect-ratio patterns are required for next-generation three-dimensional (3D) semiconductor devices. However, it is challenging to eliminate voids and seams during gap-filling of these high-aspect-ratio patterns, such as deep trenches, especially for nanoscale high-aspect-ratio patterns. In this study, a SiO2 plasma-enhanced atomic layer deposition process incorporated with ion collision using bias power to the substrate was used for bottom-up trench gap-filling. The effect of bias power frequency on SiO2 trench gap-filling was then investigated. Results showed that changes in bias power frequency did not significantly change the process rate, such as SiO2 growth per cycle. At relatively low bias power frequencies, high-energy ions formed an overhang at the entrance of the high-aspect-ratio trench pattern through sputter etching and redeposition, blocking the pattern entrance. However, at relatively high-frequency bias power, overhang formation due to sputtering did not occur. In the trench interior, due to a scattering effect of ions, deposition was thicker at the bottom of the trench than that at the top, achieving bottom-up gap-filling and void-free gap-filling.

4.
Nanotechnology ; 35(27)2024 Apr 18.
Artigo em Inglês | MEDLINE | ID: mdl-38522102

RESUMO

To obtain high-quality SiNxfilms applicable to an extensive range of processes, such as gate spacers in fin field-effect transistors (FinFETs), the self-aligned quadruple patterning process, etc, a study of plasma with higher plasma density and lower plasma damage is crucial in addition to study on novel precursors for SiNxplasma-enhanced atomic layer deposition (PEALD) processes. In this study, a novel magnetized PEALD process was developed for depositing high-quality SiNxfilms using di(isopropylamino)silane (DIPAS) and magnetized N2plasma at a low substrate temperature of 200 °C. The properties of the deposited SiNxfilms were analyzed and compared with those obtained by the PEALD process using a non-magnetized N2plasma source under the same conditions. The PEALD SiNxfilm, produced using an external magnetic field (ranging from 0 to 100 G) during the plasma exposure step, exhibited a higher growth rate (∼1 Å/cycle) due to the increased plasma density. Additionally, it showed lower surface roughness, higher film density, and enhanced wet etch resistance compared to films deposited using the PEALD process with non-magnetized plasmas. This improvement can be attributed to the higher ion flux and lower ion energy of the magnetized plasma. The electrical characteristics, such as interface trap density and breakdown voltage, were also enhanced when the magnetized plasma was used for the PEALD process. Furthermore, when SiNxfilms were deposited on high-aspect-ratio (30:1) trench patterns using the magnetized PEALD process, an improved step coverage of over 98% was achieved, in contrast to the conformality of SiNxdeposited using non-magnetized plasma. This enhancement is possibly a result of deeper radical penetration enabled by the magnetized plasma.

5.
Materials (Basel) ; 16(16)2023 Aug 14.
Artigo em Inglês | MEDLINE | ID: mdl-37629915

RESUMO

This paper proposes the use of environmentally friendly alternatives, C6F6 and C4H2F6, as perfluorocarbon (PFC) and hydrofluorocarbon (HFC) precursors, respectively, for SiO2 plasma etching, instead of conventional precursors C4F8 and CHF3. The study employs scanning electron microscopy for etch profile analysis and quadrupole mass spectrometry for plasma diagnosis. Ion bombardment energy at the etching conditions is determined through self-bias voltage measurements, while densities of radical species are obtained using quadrupole mass spectroscopy. The obtained results compare the etch performance, including etch rate and selectivity, between C4F8 and C6F6, as well as between CHF3 and C4H2F6. Furthermore, greenhouse gas (GHG) emissions are evaluated using a million metric ton of carbon dioxide equivalent, indicating significantly lower emissions when replacing conventional precursors with the proposed alternatives. The results suggest that a significant GHG emissions reduction can be achieved from the investigated alternatives without a deterioration in SiO2 etching characteristics. This research contributes to the development of alternative precursors for reducing global warming impacts.

6.
Nanotechnology ; 34(46)2023 Aug 29.
Artigo em Inglês | MEDLINE | ID: mdl-37531942

RESUMO

Highly selective etching of silicon nitride over silicon oxide is one of the most important processes especially for the fabrication of vertical semiconductor devices including 3D NAND (Not And) devices. In this study, isotropic dry etching characteristics of SiNxand SiO2using ClF3/Cl2remote plasmas have been investigated. The increase of Cl2percent in ClF3/Cl2gas mixture increased etch selectivity of SiNxover SiO2while decreasing SiNxetch rate. By addition of 15% Cl to ClF3/Cl2, the etch selectivity higher than 500 could be obtained with the SiNxetch rate of ∼8 nm min-1, and the increase of Cl percent to 20% further increased the etch selectivity to higher than 1000. It was found that SiNxcan be etched through the reaction from Si-N to Si-F and Si-Cl (also from Si-Cl to Si-F) while SiO2can be etched only through the reaction from Si-O to Si-F, and which is also in extremely low reaction at room temperature. When SiNx/SiO2layer stack was etched using ClF3/Cl2(15%), extremely selective removal of SiNxlayer in the SiNx/SiO2layer stack could be obtained without noticeable etching of SiO2layer in the stack and without etch loading effect.

7.
Sci Rep ; 13(1): 11599, 2023 Jul 18.
Artigo em Inglês | MEDLINE | ID: mdl-37463965

RESUMO

In this study, an isotropic etching process of SiO2 selective to Si3N4 using NF3/H2/methanol chemistry was investigated. HF was formed using a NF3/H2 remote plasma, and in order to remove the F radicals, which induces spontaneous etching of Si-base material, methanol was injected outside the plasma discharge region. Through this process, etch products were formed on the surface of SiO2, and then the (NH4)2SiF6 was removed by following heating process. When the H and F radicals were abundant, the highest SiO2 etch per cycle (EPC) was obtained. And, the increase of H2 and methanol percentage in the gas chemistry increased the etch selectivity by decreasing the F radicals. The etch products such as (NH4)2SiF6 were formed on the surfaces of SiO2 and Si3N4 during the reaction step and no noticeable spontaneous etching by formation of SiF4 was observed. By optimized conditions, the etch selectivity of SiO2 over Si3N4 and poly Si higher than 50 and 20, respectively, was obtained while having SiO2 EPC of ~ 13 nm/cycle. It is believed that the cyclic process using NF3/H2 remote plasma and methanol followed by heating can be applied to the selective isotropic SiO2 etching of next generation 3D device fabrication.

8.
ACS Appl Mater Interfaces ; 15(23): 28763-28771, 2023 Jun 14.
Artigo em Inglês | MEDLINE | ID: mdl-37269552

RESUMO

Plasma enhanced atomic layer deposition (PEALD) of silicon nitride (SiNx) using very high frequency (VHF, 162 MHz) plasma source was investigated at the process temperatures of 100, 200, and 300 °C. Two aminosilane precursors having different numbers of amino ligands, bis(tert-butylamino)silane (BTBAS) and di(sec-butylamino)silane (DSBAS), were used as Si precursors. A comparative study was also conducted to verify the effect of the number of amino ligands on the properties of SiNx film. At all process temperatures, DSBAS, having one amino ligand, performed better than BTBAS in various aspects. SiNx films deposited using DSBAS had lower surface roughness, higher film density, lower wet etch rate, improved electrical characteristics, and higher growth rate than those deposited using BTBAS. With the combination of a VHF plasma source and DSBAS with one amino ligand, the SiNx films grown at 300 °C exhibited low wet etch rates (≤2 nm/min) in a dilute HF solution (100:1 of deionized water:HF) as well as low C content below the XPS detection limit. Also, excellent step coverage close to 100% on high aspect ratio (30:1) trench structures was obtained by using VHF plasma, which could provide sufficient flux of plasma species inside the trenches in conjunction with DSBAS having fewer amino ligands than BTBAS.

9.
Nat Nanotechnol ; 18(5): 464-470, 2023 May.
Artigo em Inglês | MEDLINE | ID: mdl-36941360

RESUMO

Layer transfer techniques have been extensively explored for semiconductor device fabrication as a path to reduce costs and to form heterogeneously integrated devices. These techniques entail isolating epitaxial layers from an expensive donor wafer to form freestanding membranes. However, current layer transfer processes are still low-throughput and too expensive to be commercially suitable. Here we report a high-throughput layer transfer technique that can produce multiple compound semiconductor membranes from a single wafer. We directly grow two-dimensional (2D) materials on III-N and III-V substrates using epitaxy tools, which enables a scheme comprised of multiple alternating layers of 2D materials and epilayers that can be formed by a single growth run. Each epilayer in the multistack structure is then harvested by layer-by-layer mechanical exfoliation, producing multiple freestanding membranes from a single wafer without involving time-consuming processes such as sacrificial layer etching or wafer polishing. Moreover, atomic-precision exfoliation at the 2D interface allows for the recycling of the wafers for subsequent membrane production, with the potential for greatly reducing the manufacturing cost.

10.
Nature ; 614(7946): 88-94, 2023 02.
Artigo em Inglês | MEDLINE | ID: mdl-36653458

RESUMO

Two-dimensional (2D) materials and their heterostructures show a promising path for next-generation electronics1-3. Nevertheless, 2D-based electronics have not been commercialized, owing mainly to three critical challenges: i) precise kinetic control of layer-by-layer 2D material growth, ii) maintaining a single domain during the growth, and iii) wafer-scale controllability of layer numbers and crystallinity. Here we introduce a deterministic, confined-growth technique that can tackle these three issues simultaneously, thus obtaining wafer-scale single-domain 2D monolayer arrays and their heterostructures on arbitrary substrates. We geometrically confine the growth of the first set of nuclei by defining a selective growth area via patterning SiO2 masks on two-inch substrates. Owing to substantial reduction of the growth duration at the micrometre-scale SiO2 trenches, we obtain wafer-scale single-domain monolayer WSe2 arrays on the arbitrary substrates by filling the trenches via short growth of the first set of nuclei, before the second set of nuclei is introduced, thus without requiring epitaxial seeding. Further growth of transition metal dichalcogenides with the same principle yields the formation of single-domain MoS2/WSe2 heterostructures. Our achievement will lay a strong foundation for 2D materials to fit into industrial settings.

11.
Nanotechnology ; 34(3)2022 Nov 04.
Artigo em Inglês | MEDLINE | ID: mdl-36223734

RESUMO

Sn is the one of the materials that can be used for next generation extreme ultraviolet (EUV) mask material having a high absorption coefficient and, for the fabrication of the next generation EUV mask, a precise etching of Sn is required. In this study, the atomic layer etching (ALE) process was performed for the precise etch thickness control and low damage etching of Sn by the formation SnHxClycompounds on the Sn surface using with H and Cl radicals during the adsorption step and by the removal of the compound using Ar+ions with a controlled energy during the desorption step. Through this process, optimized ALE conditions with different H/Cl radical combinations that can etch Sn at ∼2.6 Šcycle-1were identified with a high etch selectivity over Ru which can be used as the capping layer of the EUV mask. In addition, it was confirmed that not only the Sn but also Ru showed almost no physical and chemical damage during the Sn ALE process.

12.
Adv Mater ; 34(36): e2202799, 2022 Sep.
Artigo em Inglês | MEDLINE | ID: mdl-35857340

RESUMO

Multi-valued logic (MVL) technology that utilizes more than two logic states has recently been reconsidered because of the demand for greater power saving in current binary logic systems. Extensive efforts have been invested in developing MVL devices with multiple threshold voltages by adopting negative differential transconductance and resistance. In this study, a reconfigurable, multiple negative-differential-resistance (m-NDR) device with an electric-field-induced tunability of multiple threshold voltages is reported, which comprises a BP/ReS2 heterojunction and a ReS2 /h-BN/metal capacitor. Tunability for the m-NDR phenomenon is achieved via the resistance modulation of the ReS2 layer by electrical pulses applied to the capacitor region. Reconfigurability is verified in terms of the function of an MVL circuit composed of a reconfigurable m-NDR device and a load transistor, wherein staggered-type and broken-type double peak-NDR device operations are adopted for ternary inverter and latch circuits, respectively.

13.
Sci Rep ; 12(1): 5703, 2022 Apr 05.
Artigo em Inglês | MEDLINE | ID: mdl-35383214

RESUMO

Precise and selective removal of silicon nitride (SiNx) over silicon oxide (SiOy) in a oxide/nitride stack is crucial for a current three dimensional NOT-AND type flash memory fabrication process. In this study, fast and selective isotropic etching of SiNx over SiOy has been investigated using a ClF3/H2 remote plasma in an inductively coupled plasma system. The SiNx etch rate over 80 nm/min with the etch selectivity (SiNx over SiOy) of ~ 130 was observed under a ClF3 remote plasma at a room temperature. Furthermore, the addition of H2 to the ClF3 resulted in an increase of etching selectivity over 200 while lowering the etch rate of both oxide and nitride due to the reduction of F radicals in the plasma. The time dependent-etch characteristics of ClF3, ClF3 & H2 remote plasma showed little loading effect during the etching of silicon nitride on oxide/nitride stack wafer with similar etch rate with that of blank nitride wafer.

14.
Micromachines (Basel) ; 13(2)2022 Jan 24.
Artigo em Inglês | MEDLINE | ID: mdl-35208298

RESUMO

Low-hydrogen-containing amorphous silicon (a-Si) was deposited at a low temperature of 80 °C using a very high frequency (VHF at 162 MHz) plasma system with multi-split electrodes. Using the 162 MHz VHF plasma system, a high deposition rate of a-Si with a relatively high deposition uniformity of 6.7% could be obtained due to the formation of high-ion-density (>1011 cm-3) plasma with SiH4 and a lack of standing waves by using small multi-split electrodes. The increase in the radio frequency (RF) power decreased the hydrogen content in the deposited silicon film and, at a high RF power of 2000 W, a-Si with a low hydrogen content of 3.78% could be deposited without the need for a dehydrogenation process. The crystallization of the a-Si by ultraviolet (UV) irradiation showed that the a-Si can be crystallized with a crystallinity of 0.8 and a UV energy of 80 J without dehydrogenation. High-resolution transmission electron microscopy showed that the a-Si deposited by the VHF plasma was a very small nanocrystalline-like a-Si and the crystalline size significantly grew with the UV irradiation. We believe that the VHF (162 MHz) multi-split plasma system can be used for a low-cost low-temperature polysilicon (LTPS) process.

15.
Nanotechnology ; 33(9)2021 Dec 09.
Artigo em Inglês | MEDLINE | ID: mdl-34808609

RESUMO

Even though EUV lithography has the advantage of implenting a finer pattern compared to ArF immersion lithography due to the use of 13.5 nm instead of 193 nm as the wavelength of the light source, due to the low energy of EUV light source, EUV resist has a thinner thickness than conventional ArF resist. EUV resist having such a thin thickness is more vulnerable to radiation damage received during the etching because of its low etch resistance and also tends to have a problem of low etch selectivity. In this study, the radiation damage to EUV resist during etching of hardmask materials such as Si3N4, SiO2, etc using CF4gas was compared between neutral beam etching (NBE) and ion beam etching (IBE). When NBE was used, after the etching of 20 nm thick EUV resist, the line edge roughness increase and the critical dimension change of EUV resist were reduced by ∼1/3 and ∼1/2, respectively, compared to those by IBE. Also, at that EUV etch depth, the root mean square surface roughness value of EUV resist etched by NBE was ∼2/3 compared to that by IBE on the average. It was also confirmed that the etching selectivity between SiO2, Si3N4, etc and EUV resist was higher for NBE compared to IBE. The less damage to the EUV resist and the higher etch selectivity of materials such as Si3N4and SiO2over EUV resist for NBE compared to IBE are believed to be related to the no potential energy released by the neutralization of the ions during the etching by NBE.

16.
Adv Mater ; 33(40): e2102980, 2021 Oct.
Artigo em Inglês | MEDLINE | ID: mdl-34423469

RESUMO

Optogenetics refers to a technique that uses light to modulate neuronal activity with a high spatiotemporal resolution, which enables the manipulation of learning and memory functions in the human brain. This strategy of controlling neuronal activity using light can be applied for the development of intelligent systems, including neuromorphic and in-memory computing systems. Herein, a flexible van der Waals (vdW) optoelectronic synapse is reported, which is a core component of optogenetics-inspired intelligent systems. This synapse is fabricated on 2D vdW layered rhenium disulfide (ReS2 ) that features an inherent photosensitive memory nature derived from the persistent photoconductivity (PPC) effect, successfully mimicking the dynamics of biological synapses. Based on first-principles calculations, the PPC effect is identified to originate from sulfur vacancies in ReS2 that have an inherent tendency to form shallow defect states near the conduction band edges and under optical excitation lead to large lattice relaxation. Finally, the feasibility of applying the synapses in optogenetics-inspired intelligent systems is demonstrated via training and inference tasks for the CIFAR-10 dataset using a convolutional neural network composed of vdW optoelectronic synapse devices.


Assuntos
Eletrônica , Redes Neurais de Computação , Optogenética , Biomimética/instrumentação , Biomimética/métodos , Condutividade Elétrica , Luz , Rênio/química , Sulfetos/química , Sinapses/fisiologia
17.
ACS Nano ; 15(6): 10587-10596, 2021 Jun 22.
Artigo em Inglês | MEDLINE | ID: mdl-34081854

RESUMO

Remote epitaxy has drawn attention as it offers epitaxy of functional materials that can be released from the substrates with atomic precision, thus enabling production and heterointegration of flexible, transferrable, and stackable freestanding single-crystalline membranes. In addition, the remote interaction of atoms and adatoms through two-dimensional (2D) materials in remote epitaxy allows investigation and utilization of electrical/chemical/physical coupling of bulk (3D) materials via 2D materials (3D-2D-3D coupling). Here, we unveil the respective roles and impacts of the substrate material, graphene, substrate-graphene interface, and epitaxial material for electrostatic coupling of these materials, which governs cohesive ordering and can lead to single-crystal epitaxy in the overlying film. We show that simply coating a graphene layer on wafers does not guarantee successful implementation of remote epitaxy, since atomically precise control of the graphene-coated interface is required, and provides key considerations for maximizing the remote electrostatic interaction between the substrate and adatoms. This was enabled by exploring various material systems and processing conditions, and we demonstrate that the rules of remote epitaxy vary significantly depending on the ionicity of material systems as well as the graphene-substrate interface and the epitaxy environment. The general rule of thumb discovered here enables expanding 3D material libraries that can be stacked in freestanding form.

18.
J Nanosci Nanotechnol ; 21(8): 4133, 2021 08 01.
Artigo em Inglês | MEDLINE | ID: mdl-33714291
19.
J Nanosci Nanotechnol ; 21(3): 1826-1832, 2021 Mar 01.
Artigo em Inglês | MEDLINE | ID: mdl-33404456

RESUMO

In this study, cobalt films were deposited by plasma enhanced atomic layer deposition (PEALD) with cobaltocene (Co(Cp)2) using two different very high frequency (VHF) NH3 plasmas (60 MHz, 100 MHz), and the effect of different frequencies of VHF on the characteristics of NH3 plasmas and the properties of cobalt films were investigated. It is found that the higher frequency showed the higher plasma density at the same input power and, the NH radicals, which are required to remove the ligands of the cobalt precursor during the plasma exposure step in the ALD cycle, were higher at 100 MHz than those at 60 MHz. The RMS surface roughness and carbon impurity percentage of the deposited cobalt films were lower at the higher frequency possibly indicating denser films due to more active surface reactions at the higher frequency. As a result, it is expected that the cobalt thin films deposited by the higher VHF PEALD will improve the characteristics of deposited thin films.

20.
Nanotechnology ; 32(5): 055301, 2021 Jan 29.
Artigo em Inglês | MEDLINE | ID: mdl-33179607

RESUMO

Magnetic tunneling junction (MTJ) materials such as CoFeB, Co, Pt, MgO, and the hard mask material such as W and TiN were etched with a reactive ion beam etching (RIBE) system using H2/NH3. By using gas mixtures of H2 and NH3, especially with the H2/NH3( 2:1) ratio, higher etch rates of MTJ related materials and higher etch selectivities over mask materials (>30) could be observed compared to those etching using pure H2( no etching) and NH3. In addition, no significant chemical and physical damages were observed on etched magnetic materials surfaces and, for CoPt and MTJ nanoscale patterns etched by the H2/NH3( 2:1) ion beam, highly anisotropic etch profiles >83° with no sidewall redeposition could be observed. The higher etch rates of magnetic materials such as CoFeB by the H2/NH3( 2:1) ion beam compared to those by H2 ion beam or NH3 ion beam are believed to be related to the formation of volatile metal hydrides (MH, M = Co, Fe, etc) through the reduction of M-NHx( x = 1 ∼ 3) formed in the CoFeB surface by the exposure to NH3 ion beam. It is believed that the H2/NH3 RIBE is a suitable technique in the etching of MTJ materials for the next generation nanoscale spin transfer torque magnetic random access memory (STT-MRAM) devices.

SELEÇÃO DE REFERÊNCIAS
DETALHE DA PESQUISA